Forum Discussion
Altera_Forum
Honored Contributor
19 years agoalles hangt af van welke taal die je wil gebruiken.
Gebruik je vhdl dan is dit een eenvoudig voorbeeld om een clock te delen library altera_vhdl_support; use altera_vhdl_support.all; library work; use work.altera_vhdl_support_lib.all; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.std_logic_arith.all; entity CLK_Distribution is port ( clk : in std_logic; Q : buffer std_logic_vector(31 downto 0) -- q(0) word door 2 gedeeld, q(1) door 4 enz. ); end entity CLK_Distribution; architecture behaviour of CLK_Distribution is begin process(clk,q) begin if rising_edge(clk) then q <= q + 1; end if; end process; end behaviour; als je enkel grafische tools wil gebruiken dan zijn de lpm functies beschikbaar. je vindt info over lpm functies op de altera site. http://www.altera.com/support/examples/exm-index.html ook op de site van terasic site vind je heel info http://www.terasic.com.tw/cgi-bin/page/archive.pl?language=english&categoryno=39&no=83